Ntim tshuab yog ib qho ntawm cov txheej txheem tseem ceeb tshaj plaws hauv kev lag luam semiconductor. Raws li cov duab ntawm lub pob, nws tuaj yeem muab faib ua pob qhov (socket) pob, pob khoom pob, BGA pob, nti loj pob (CSP), ib qho nti module pob (SCM, qhov sib txawv ntawm cov xaim ntawm lub rooj tsav xwm luam tawm (PCB) thiab kev sib koom ua ke (IC) pawg thawj coj saib kev sib tw), ntau lub chip module pob (MCM, uas tuaj yeem sib xyaw heterogeneous chips), wafer qib pob (WLP, suav nrog qib kiv cua tawm wafer. pob (FOWLP), micro nto mount Cheebtsam (microSMD), thiab lwm yam.), pob peb-dimensional (micro bump interconnect pob, TSV interconnect pob, thiab lwm yam), system pob (SIP), nti system (SOC).
Cov ntaub ntawv ntawm 3D ntim yog feem ntau muab faib ua peb pawg: hom faus (faus cov cuab yeej hauv ntau txheej thaiv lossis faus rau hauv cov substrate), hom active substrate (silicon wafer kev koom ua ke: thawj zaug integrate cov khoom thiab wafer substrate los ua ib tug active substrate. ; tom qab ntawd npaj cov kab sib txuas ntau txheej, thiab sib sau ua ke lwm cov chips lossis cov khoom sib txuas rau saum txheej) thiab muab tso rau hom (silicon wafers stacked nrog silicon wafers, chips stacked nrog silicon wafers, thiab chips stacked nrog chips).
3D interconnection txoj kev muaj xws li hlau bonding (WB), flip nti (FC), los ntawm silicon ntawm (TSV), zaj duab xis neeg xyuas pib, thiab lwm yam.
TSV paub txog kev sib tshuam ntsug ntawm cov chips. Txij li cov kab sib cav sib ceg muaj qhov deb tshaj plaws thiab lub zog siab dua, nws yooj yim dua rau kev ua haujlwm zoo, kev ua haujlwm siab, thiab ntau yam kev tsim qauv heterogeneous cov qauv ntim. Nyob rau tib lub sijhawm, nws tuaj yeem cuam tshuam cov chips ntawm cov khoom sib txawv;
Tam sim no, muaj ob hom microelectronics manufacturing technologies siv TSV txheej txheem: peb-dimensional Circuit Court ntim (3D IC integration) thiab peb-dimensional silicon ntim (3D Si integration).
Qhov txawv ntawm ob hom yog tias:
(1) 3D Circuit Court ntim yuav tsum tau muab cov chip electrodes npaj rau hauv pob, thiab cov pob yog kev sib txuas (kev sib txuas los ntawm kev sib txuas, fusion, vuam, thiab lwm yam), thaum 3D silicon ntim yog kev sib txuas ncaj qha ntawm cov chips (kev sib txuas ntawm oxides thiab Cu. -Cu kev sib txuas).
(2) 3D circuit integration technology tuaj yeem ua tiav los ntawm kev sib txuas ntawm wafers (3D Circuit Court ntim, 3D silicon ntim), thaum chip-to-chip bonding thiab chip-to-wafer bonding tsuas yog ua tiav los ntawm 3D Circuit Court ntim.
(3) Muaj qhov sib txawv ntawm cov chips sib xyaw ua ke los ntawm 3D Circuit Court ntim txheej txheem, thiab cov khoom siv dielectric yuav tsum tau ua kom tiav los kho cov thermal conductivity thiab thermal expansion coefficient ntawm lub kaw lus kom ruaj ntseg ntawm cov khoom siv hluav taws xob thiab hluav taws xob ntawm lub cev; tsis muaj qhov sib txawv ntawm cov chips ua ke los ntawm 3D silicon ntim txheej txheem, thiab lub zog noj, ntim, thiab qhov hnyav ntawm cov nti yog me me, thiab cov khoom siv hluav taws xob zoo heev.
Cov txheej txheem TSV tuaj yeem tsim txoj kev teeb tsa ntsug los ntawm substrate thiab txuas RDL rau saum thiab hauv qab ntawm substrate los tsim ib txoj hauv kev peb sab. Yog li ntawd, tus txheej txheem TSV yog ib lub hauv paus tseem ceeb rau kev tsim cov qauv peb-dimensional passive ntaus ntawv.
Raws li qhov kev txiav txim ntawm qhov kawg ntawm kab (FEOL) thiab tom qab kawg ntawm kab (BEOL), TSV txheej txheem tuaj yeem muab faib ua peb qhov kev tsim khoom tseem ceeb, uas yog, ntawm thawj (ViaFirst), ntawm nruab nrab (Via Middle) thiab ntawm kawg (Via Last) txheej txheem, raws li qhia hauv daim duab.
1. Ntawm etching txheej txheem
Tus txheej txheem ntawm etching yog tus yuam sij rau kev tsim TSV qauv. Xaiv cov txheej txheem etching uas tsim nyog tuaj yeem txhim kho lub zog txhua yam thiab cov khoom hluav taws xob ntawm TSV, thiab ntxiv rau qhov kev ntseeg tau ntawm TSV peb-dimensional li.
Tam sim no, muaj plaub lub ntsiab tseem ceeb TSV ntawm cov txheej txheem etching: Deep Reactive Ion Etching (DRIE), ntub etching, yees duab-pab electrochemical etching (PAECE) thiab laser drilling.
(1) Deep Reactive Ion Etching (DRIE)
Sib sib zog nqus reactive ion etching, tseem hu ua DRIE txheej txheem, yog feem ntau siv TSV etching txheej txheem, uas yog tsuas yog siv los paub TSV ntawm cov qauv nrog siab nam piv. Cov txheej txheem plasma etching feem ntau tsuas yog ua tiav qhov tob ntawm ob peb microns, nrog tus nqi qis qis thiab tsis muaj etching daim npog qhov ncauj xaiv. Bosch tau txhim kho cov txheej txheem sib thooj ntawm lub hauv paus no. Los ntawm kev siv SF6 raws li cov roj reactive thiab tso tawm C4F8 roj thaum lub sij hawm etching txheej txheem raws li ib tug passivation tiv thaiv rau lub sidewalls, cov txheej txheem DRIE txhim kho yog tsim nyog rau etching siab nam piv vias. Yog li ntawd, nws tseem hu ua Bosch txheej txheem tom qab nws tus neeg tsim khoom.
Daim duab hauv qab no yog daim duab ntawm qhov sib piv siab ntawm kev tsim los ntawm etching txheej txheem DRIE.
Txawm hais tias cov txheej txheem DRIE tau siv dav hauv cov txheej txheem TSV vim nws qhov kev tswj xyuas tau zoo, nws qhov tsis zoo yog tias lub sidewall flatness tsis zoo thiab scallop-shaped wrinkle defects yuav tsim. Qhov teeb meem no tseem ceeb dua thaum etching siab nam piv vias.
(2) ntub dej etching
Kev ntub dej etching siv kev sib xyaw ua ke ntawm daim npog ntsej muag thiab tshuaj lom neeg etching los ntawm qhov. Kev siv tshuaj etching feem ntau yog KOH, uas tuaj yeem etch txoj haujlwm ntawm silicon substrate uas tsis muaj kev tiv thaiv los ntawm lub npog ntsej muag, yog li tsim cov qauv ntawm lub qhov xav tau. Kev ntub dej etching yog qhov ntxov tshaj plaws los ntawm lub qhov etching txheej txheem tsim. Txij li thaum nws cov txheej txheem cov txheej txheem thiab cov cuab yeej xav tau yog qhov yooj yim, nws tsim nyog rau kev tsim khoom loj ntawm TSV ntawm tus nqi qis. Txawm li cas los xij, nws cov tshuaj etching tshuab txiav txim siab tias qhov dhau los ntawm qhov tsim los ntawm txoj kev no yuav cuam tshuam los ntawm cov siv lead ua kev taw qhia ntawm silicon wafer, ua rau etched los ntawm lub qhov tsis yog ntsug tab sis qhia meej qhov tshwm sim ntawm qhov dav sab saum toj thiab nqaim hauv qab. Qhov kev tsis zoo no txwv tsis pub siv cov ntaub ntub dej hauv TSV kev tsim khoom.
(3) Photo-assisted electrochemical etching (PAECE)
Lub hauv paus ntsiab lus ntawm kev yees duab-pab electrochemical etching (PAECE) yog siv lub teeb ultraviolet los ua kom lub cim ntawm electron-qhov khub, yog li ua kom cov txheej txheem electrochemical etching. Piv nrog rau cov txheej txheem DRIE dav siv, cov txheej txheem PAECE yog qhov tsim nyog rau etching ultra-loj nam piv los ntawm lub qhov ntau dua 100: 1, tab sis nws qhov tsis zoo yog qhov kev tswj ntawm qhov tob ntawm etching tsis muaj zog dua DRIE, thiab nws cov cuab yeej siv tau. xav tau kev tshawb fawb ntxiv thiab kev txhim kho txheej txheem.
(4) Laser drilling
Nws txawv ntawm peb txoj kev saum toj no. Cov txheej txheem laser drilling yog ib txoj kev siv lub cev nkaus xwb. Nws tsuas yog siv high-zog laser irradiation rau yaj thiab evaporate cov khoom siv substrate nyob rau hauv cov cheeb tsam uas tau teev tseg kom lub cev paub txog qhov kev tsim ntawm TSV.
Lub qhov los ntawm qhov tsim los ntawm laser drilling muaj qhov sib piv siab thiab cov phab ntsa yog qhov pib ntsug. Txawm li cas los xij, txij li thaum laser drilling tiag tiag siv cov cua sov hauv zos los tsim lub qhov los ntawm lub qhov, lub qhov phab ntsa ntawm TSV yuav cuam tshuam tsis zoo los ntawm thermal puas thiab txo kev ntseeg tau.
2. Liner txheej deposition txheej txheem
Lwm cov thev naus laus zis tseem ceeb rau kev tsim TSV yog txheej txheej txheej txheej txheej txheej.
Cov txheej txheem txheej txheej txheej txheej txheej txheej yog ua tom qab qhov dhau-qhov yog etched. Cov txheej txheej txheej txheej txheej feem ntau yog oxide xws li SiO2. Cov txheej txheej yog nyob nruab nrab ntawm tus neeg xyuas pib ntawm TSV thiab cov substrate, thiab feem ntau ua lub luag haujlwm ntawm kev cais tawm DC tam sim no. Ntxiv nrog rau kev tso nyiaj oxide, thaiv thaiv thiab cov noob txheej tseem yuav tsum tau ua rau tus neeg xyuas pib sau rau hauv cov txheej txheem tom ntej.
Cov txheej txheej uas tsim tawm yuav tsum ua tau raws li ob qho kev cai hauv qab no:
(1) qhov tawg voltage ntawm cov txheej insulating yuav tsum ua tau raws li qhov tseeb ua haujlwm ntawm TSV;
(2) cov txheej txheej deposited yog zoo ib yam thiab muaj zoo adhesion rau ib leeg.
Cov duab hauv qab no qhia tau hais tias ib daim duab ntawm cov txheej txheej uas tso los ntawm plasma enhanced chemical vapor deposition (PECVD).
Cov txheej txheem tso nyiaj yuav tsum tau hloov kho raws li TSV kev tsim khoom sib txawv. Rau cov txheej txheem pem hauv ntej ntawm lub qhov, cov txheej txheem kub-kub tso tawm tuaj yeem siv los txhim kho cov txheej txheem oxide zoo.
Kev kub siab kub tso tawm tuaj yeem ua raws li tetraethyl orthosilicate (TEOS) ua ke nrog cov txheej txheem thermal oxidation los tsim cov txheej txheem zoo SiO2 insulating txheej. Rau cov txheej txheem nruab nrab ntawm lub qhov thiab rov qab los ntawm lub qhov, txij li cov txheej txheem BEOL tau ua tiav thaum lub sij hawm tso tawm, yuav tsum muaj qhov ntsuas kub qis los xyuas kom muaj kev sib raug zoo nrog BEOL cov ntaub ntawv.
Nyob rau hauv cov xwm txheej no, qhov kub ntawm qhov tso nyiaj yuav tsum raug txwv rau 450 °, suav nrog kev siv PECVD tso nyiaj SiO2 lossis SiNx ua txheej txheej insulating.
Lwm txoj hauv kev yog siv atomic txheej deposition (ALD) kom tso Al2O3 kom tau ib txheej denser insulating.
3. Hlau filling txheej txheem
TSV filling txheej txheem yog nqa tawm tam sim ntawd tom qab txheej txheej txheej txheej txheej txheej txheej txheej, uas yog lwm lub tshuab tseem ceeb uas txiav txim siab qhov zoo ntawm TSV.
Cov ntaub ntawv uas tuaj yeem sau tau suav nrog doped polysilicon, tungsten, carbon nanotubes, thiab lwm yam nyob ntawm cov txheej txheem siv, tab sis cov ntsiab lus tseem ceeb tseem yog electroplated tooj liab, vim tias nws cov txheej txheem yog mature thiab nws cov hluav taws xob thiab thermal conductivity kuj siab.
Raws li qhov sib txawv ntawm nws cov electroplating tus nqi nyob rau hauv los ntawm lub qhov, nws muaj peev xwm muab faib ua subconformal, conformal, superconformal thiab hauv qab-up electroplating txoj kev, raws li qhia nyob rau hauv daim duab.
Subconformal electroplating yog siv nyob rau hauv thaum ntxov theem ntawm TSV kev tshawb fawb. Raws li pom nyob rau hauv daim duab (a), Cu ions muab los ntawm electrolysis yog concentrated nyob rau sab saum toj, thaum lub hauv qab yog insufficiently supplemented, uas ua rau lub electroplating tus nqi nyob rau hauv lub sab saum toj ntawm lub los ntawm lub qhov yuav siab tshaj nyob rau hauv lub sab saum toj. Yog li ntawd, sab saum toj ntawm lub qhov taub yuav raug kaw ua ntej ua ntej nws puv tag, thiab ib qho khoob loj yuav tsim nyob rau hauv.
Daim duab schematic thiab daim duab ntawm cov txheej txheem electroplating conformal muaj nyob rau hauv daim duab (b). Los ntawm kev ua kom lub cev ntxiv ntawm Cu ions, tus nqi electroplating ntawm txhua txoj hauj lwm hauv lub qhov taub yog qhov zoo ib yam, yog li tsuas yog ib lub seam yuav sab laug sab hauv, thiab qhov tsis muaj qhov ntim tsawg dua li ntawm txoj kev siv hluav taws xob subconformal, yog li ntawd. nws yog dav siv.
Txhawm rau kom ua tiav cov txiaj ntsig tsis pub dawb ntxiv, cov txheej txheem superconformal electroplating tau thov kom ua kom zoo dua cov txheej txheem electroplating. Raws li pom nyob rau hauv daim duab (c), los ntawm kev tswj cov khoom ntawm Cu ions, lub filling tus nqi nyob rau hauv qab yog me ntsis siab dua li nyob rau hauv lwm txoj hauj lwm, yog li optimizing cov kauj ruam gradient ntawm lub filling tus nqi ntawm qab mus rau sab saum toj kom tshem tawm tag nrho cov seam sab laug. los ntawm txoj kev conformal electroplating, thiaj li ua kom tiav tag nrho cov void-dawb hlau tooj liab filling.
Txoj kev hauv qab-up electroplating tuaj yeem suav tias yog qhov tshwj xeeb ntawm txoj kev super-conformal. Nyob rau hauv cov ntaub ntawv no, tus nqi electroplating tsuas yog hauv qab yog suppressed mus rau xoom, thiab tsuas yog cov electroplating yog maj mam nqa tawm ntawm qab mus rau sab saum toj. Ntxiv nrog rau qhov tsis muaj qhov tsis muaj txiaj ntsig ntawm txoj kev sib txuam electroplating, txoj kev no tseem tuaj yeem txo lub sijhawm electroplating tag nrho, yog li nws tau kawm dav hauv xyoo tas los no.
4. RDL txheej txheem tshuab
Cov txheej txheem RDL yog ib qho tseem ceeb hauv kev siv thev naus laus zis hauv cov txheej txheem ntim peb sab. Los ntawm cov txheej txheem no, kev sib txuas ntawm hlau tuaj yeem tsim los ntawm ob sab ntawm lub substrate kom ua tiav lub hom phiaj ntawm kev xa tawm chaw nres nkoj lossis kev sib tshuam ntawm cov pob khoom. Yog li ntawd, cov txheej txheem RDL tau siv dav hauv kiv cua-hauv-kiv cua-tawm lossis 2.5D / 3D ntim tshuab.
Hauv cov txheej txheem ntawm kev tsim cov cuab yeej peb-seem, cov txheej txheem RDL feem ntau yog siv los cuam tshuam TSV kom paub ntau yam ntawm cov cuab yeej peb-seem.
Tam sim no muaj ob lub ntsiab tseem ceeb ntawm RDL cov txheej txheem. Thawj yog raws li photosensitive polymers thiab ua ke nrog tooj liab electroplating thiab etching txheej txheem; lwm qhov yog siv los ntawm kev siv Cu Damascus txheej txheem ua ke nrog PECVD thiab chemical mechanical polishing (CMP) txheej txheem.
Cov hauv qab no yuav qhia txog cov txheej txheem tseem ceeb ntawm ob RDLs raws li.
Cov txheej txheem RDL raws li photosensitive polymer yog pom nyob rau hauv daim duab saum toj no.
Ua ntej, ib txheej ntawm PI lossis BCB kua nplaum yog coated rau saum npoo ntawm wafer los ntawm kev sib hloov, thiab tom qab cua sov thiab kho, txheej txheem photolithography yog siv los qhib qhov ntawm qhov xav tau, thiab tom qab ntawd etching yog ua. Tom qab ntawd, tom qab tshem tawm cov photoresist, Ti thiab Cu yog sputtered ntawm lub wafer los ntawm lub cev vapor deposition txheej txheem (PVD) raws li ib tug barrier txheej thiab cov noob txheej, feem. Tom ntej no, thawj txheej ntawm RDL yog tsim nyob rau ntawm nthuav tawm Ti / Cu txheej los ntawm kev sib txuas cov txheej txheem photolithography thiab electroplating Cu, thiab tom qab ntawd cov photoresist raug tshem tawm thiab ntau tshaj Ti thiab Cu raug tshem tawm. Rov ua dua cov kauj ruam saum toj no los tsim ntau txheej RDL qauv. Txoj kev no yog tam sim no siv dav hauv kev lag luam.
Lwm txoj hauv kev rau kev tsim RDL feem ntau yog raws li cov txheej txheem Cu Damascus, uas ua ke nrog cov txheej txheem PECVD thiab CMP.
Qhov txawv ntawm txoj kev no thiab cov txheej txheem RDL raws li photosensitive polymer yog tias nyob rau hauv thawj kauj ruam ntawm kev tsim txhua txheej, PECVD yog siv rau deposit SiO2 los yog Si3N4 raws li ib tug insulating txheej, thiab ces ib lub qhov rais yog tsim nyob rau hauv insulating txheej los ntawm photolithography thiab reactive ion etching, thiab Ti / Cu barrier / noob txheej thiab tus neeg xyuas pib tooj liab yog sputtered feem, thiab ces cov neeg xyuas pib txheej yog thinned mus rau qhov yuav tsum tau thickness los ntawm CMP txheej txheem, uas yog, ib txheej ntawm RDL los yog los ntawm lub qhov yog tsim.
Cov duab hauv qab no yog daim duab schematic thiab duab ntawm ntu ntu ntawm ntau txheej RDL tsim los ntawm Cu Damascus txheej txheem. Nws tuaj yeem pom tias TSV yog thawj zaug txuas mus rau hauv-qhov txheej V01, thiab tom qab ntawd muab tso rau hauv qab mus rau sab saum toj hauv qhov kev txiav txim ntawm RDL1, dhau-qhov txheej V12, thiab RDL2.
Txhua txheej ntawm RDL lossis dhau-qhov txheej yog tsim nyob rau hauv ib ntus raws li cov qauv saum toj no.Txij li cov txheej txheem RDL yuav tsum tau siv cov txheej txheem CMP, nws cov nqi tsim khoom yog siab dua li cov txheej txheem RDL raws li photosensitive polymer, yog li nws daim ntawv thov kuj tsawg.
5. IPD txheej txheem technology
Rau kev tsim cov khoom siv peb sab, ntxiv rau kev sib koom ua ke ncaj qha ntawm MMIC, cov txheej txheem IPD muab lwm txoj hauv kev yooj yim dua.
Integrated passive li, tseem hu ua IPD txheej txheem, integrate ib tug ua ke ntawm passive li xws li on-chip inductors, capacitors, resistors, balun converters, thiab lwm yam. hu tau yooj yim raws li kev tsim qauv.
Txij li thaum nyob rau hauv cov txheej txheem IPD, cov khoom siv passive yog tsim thiab sib koom ua ke ncaj qha rau ntawm lub rooj tsav xwm hloov chaw, nws cov txheej txheem ntws tau yooj yim dua thiab tsis tshua kim dua li ntawm kev sib koom ua ke ntawm ICs, thiab tuaj yeem ua rau huab hwm coj ua ntej ua lub tsev qiv ntawv passive.
Rau TSV peb-dimensional passive device manufacturing, IPD tuaj yeem cuam tshuam tus nqi ntawm cov txheej txheem ntim peb sab suav nrog TSV thiab RDL.
Ntxiv nrog rau cov txiaj ntsig zoo, lwm qhov zoo ntawm IPD yog nws qhov kev hloov pauv siab. Ib qho kev hloov pauv ntawm IPD tau pom nyob rau hauv ntau txoj kev sib koom ua ke, raws li pom hauv daim duab hauv qab no. Ntxiv rau ob txoj hauv kev ntawm kev sib txuas ncaj qha IPD rau hauv pob substrate los ntawm cov txheej txheem flip-chip raws li qhia hauv daim duab (a) lossis cov txheej txheem sib txuas raws li qhia hauv daim duab (b), lwm txheej ntawm IPD tuaj yeem ua ke ntawm ib txheej. ntawm IPD raws li qhia hauv daim duab (c)-(e) kom ua tiav ntau yam ntawm cov khoom siv sib txuas ua ke.
Nyob rau tib lub sijhawm, raws li qhia hauv daim duab (f), IPD tuaj yeem siv ntxiv los ua lub rooj tsav xwm adapter kom ncaj qha faus cov nti sib xyaw rau nws ncaj qha los tsim kom muaj lub ntim ntim siab.
Thaum siv IPD los tsim peb-dimensional passive li, TSV txheej txheem thiab RDL txheej txheem kuj siv tau. Cov txheej txheem ntws yog qhov pib zoo ib yam li cov lus hais saum toj no ntawm kev sib koom ua ke ntawm kev ua haujlwm, thiab yuav tsis rov ua dua; qhov sib txawv yog tias txij li cov khoom ntawm kev sib koom ua ke tau hloov pauv los ntawm nti mus rau lub rooj tsav xwm adapter, tsis tas yuav xav txog qhov cuam tshuam ntawm cov txheej txheem ntim peb sab ntawm thaj chaw nquag thiab cov txheej txheem sib txuas. Qhov no ntxiv ua rau lwm qhov hloov pauv tseem ceeb ntawm IPD: ntau yam ntawm cov khoom siv substrate tuaj yeem xaiv tau yooj yim raws li cov qauv tsim ntawm cov khoom siv passive.
Cov khoom siv substrate muaj rau IPD tsis yog tsuas yog cov khoom siv semiconductor substrate xws li Si thiab GaN, tab sis kuj Al2O3 ceramics, low-temperature/high-temperature co-fired ceramics, glass substrates, etc. cov khoom siv sib xyaw ua ke los ntawm IPD.
Piv txwv li, peb-dimensional passive inductor qauv kev koom ua ke los ntawm IPD tuaj yeem siv iav substrate los txhim kho kev ua haujlwm ntawm inductor. Nyob rau hauv sib piv rau lub tswv yim ntawm TSV, qhov dhau-qhov ua rau ntawm lub khob substrate kuj hu ua through-glass vias (TGV). Daim duab ntawm peb-dimensional inductor tsim los ntawm IPD thiab TGV cov txheej txheem yog qhia hauv daim duab hauv qab no. Txij li thaum lub resistivity ntawm iav substrate yog ntau dua li cov pa semiconductor cov ntaub ntawv xws li Si, lub TGV peb-dimensional inductor muaj zoo rwb thaiv tsev cov khoom, thiab cov insertion poob los ntawm lub substrate parasitic nyhuv ntawm high frequencies yog npaum li cas me dua li ntawm. TSV 3-dimensional inductor.
Ntawm qhov tod tes, hlau-insulator-hlau (MIM) capacitors kuj tuaj yeem tsim los ntawm cov iav substrate IPD los ntawm cov txheej txheem ntawm cov yeeb yaj kiab nyias, thiab sib cuam tshuam nrog TGV peb-dimensional inductor los tsim peb-dimensional passive lim qauv. Yog li ntawd, cov txheej txheem IPD muaj peev xwm siv dav rau kev txhim kho peb-dimensional passive li tshiab.
Post lub sij hawm: Nov-12-2024