Semiconductor Txheej Txheem thiab Cov Khoom Siv (1/7) - Integrated Circuit Manufacturing Process

 

1.Hais txog Integrated Circuits

 

1.1 Lub tswv yim thiab kev yug ntawm kev sib xyaw ua ke

 

Integrated Circuit (IC): yog hais txog cov cuab yeej siv ua ke xws li transistors thiab diodes nrog passive Cheebtsam xws li resistors thiab capacitors los ntawm cov txheej txheem ntawm kev ua haujlwm tshwj xeeb.

Ib lub voj voog lossis lub kaw lus uas "sib koom ua ke" ntawm ib qho khoom siv hluav taws xob (xws li silicon lossis cov khoom sib txuas xws li gallium arsenide) wafer raws li qee qhov kev sib txuas hauv Circuit Court thiab tom qab ntawd ntim rau hauv lub plhaub los ua haujlwm tshwj xeeb.

Xyoo 1958, Jack Kilby, uas yog lub luag haujlwm rau kev tsim cov khoom siv hluav taws xob me me ntawm Texas Instruments (TI), tau tshaj tawm lub tswv yim ntawm kev sib xyaw ua ke:

"Vim tias tag nrho cov khoom xws li capacitors, resistors, transistors, thiab lwm yam tuaj yeem ua los ntawm ib qho khoom siv, kuv xav tias nws yuav ua tau rau lawv ntawm ib daim ntawm cov khoom siv semiconductor thiab tom qab ntawd sib txuas lawv los ua ib qho kev ua tiav."

Thaum lub Cuaj Hlis 12 thiab Cuaj Hlis 19, 1958, Kilby tau ua tiav kev tsim khoom thiab ua qauv qhia ntawm theem-hloov oscillator thiab trigger, ntsig txog, cim lub hnub yug ntawm kev sib koom ua ke.

Xyoo 2000, Kilby tau txais txiaj ntsig Nobel nqi zog hauv Physics. Pawg Nobel nqi zog ib zaug tau hais tias Kilby "tau tsim lub hauv paus rau cov ntaub ntawv xov xwm niaj hnub no."

Daim duab hauv qab no qhia Kilby thiab nws qhov kev sib koom ua ke patent:

 

 silicon-base-gan-epitaxy

 

1.2 Kev txhim kho ntawm semiconductor manufacturing technology

 

Cov duab hauv qab no qhia txog kev txhim kho theem ntawm semiconductor manufacturing technology: cvd-sic-coating

 

1.3 Integrated Circuit Industry Chain

 nruj-xav

 

Kev sib xyaw ntawm cov saw hlau semiconductor kev lag luam (feem ntau yog kev sib koom ua ke, suav nrog cov khoom siv sib cais) tau qhia hauv daim duab saum toj no:

- Fabless: Lub tuam txhab tsim cov khoom lag luam yam tsis muaj kab ntau lawm.

- IDM: Integrated Device Manufacturer, integrated device manufacturers;

- IP: Circuit Court module chaw tsim tshuaj paus;

- EDA: Electronic Design Automatic, hluav taws xob tsim automation, lub tuam txhab tsuas yog muab cov cuab yeej tsim;

- Foundry; Wafer foundry, muab kev pabcuam chip tsim;

- Ntim thiab kuaj cov tuam txhab tsim khoom: feem ntau pabcuam Fabless thiab IDM;

- Cov khoom siv thiab cov tuam txhab khoom tshwj xeeb: feem ntau yog muab cov ntaub ntawv tsim nyog thiab cov khoom siv rau cov tuam txhab tsim chip.

Cov khoom tseem ceeb uas tsim los ntawm kev siv tshuab semiconductor yog kev sib xyaw ua ke thiab cov khoom siv hluav taws xob sib cais.

Cov khoom tseem ceeb ntawm integrated circuits muaj xws li:

- Daim ntawv thov tshwj xeeb Standard Parts (ASSP);

- Microprocessor Unit (MPU);

- Nco

- Daim ntawv thov tshwj xeeb kev sib koom ua ke (ASIC);

- Analog Circuit Court;

- General logic Circuit Court (Logical Circuit Court).

Cov khoom tseem ceeb ntawm semiconductor discrete li suav nrog:

- Diode;

- Transistor;

- Lub zog ntaus ntawv;

- Cov khoom siv hluav taws xob siab;

- Microwave Ntaus;

- Optoelectronics;

- Sensor ntaus ntawv (Sensor).

 

2. Integrated Circuit Court Manufacturing txheej txheem

 

2.1 Chip Manufacturing

 

Kaum ob lossis txawm kaum tawm txhiab tus chips tshwj xeeb tuaj yeem ua ib txhij ntawm silicon wafer. Tus naj npawb ntawm cov chips ntawm silicon wafer nyob ntawm hom khoom thiab qhov loj ntawm txhua nti.

Silicon wafers feem ntau hu ua substrates. Txoj kab uas hla ntawm silicon wafers tau nce ntau xyoo dhau los, los ntawm tsawg dua 1 nti thaum pib mus rau feem ntau siv 12 ntiv tes (kwv yees li 300 hli) tam sim no, thiab tab tom hloov mus rau 14 ntiv tes lossis 15 ntiv tes.

Chip manufacturing feem ntau muab faib ua tsib theem: silicon wafer npaj, silicon wafer manufacturing, nti kuaj / xaiv, sib dhos thiab ntim, thiab kev xeem zaum kawg.

(1)Silicon wafer npaj:

Ua cov khoom siv raw, silicon yog muab rho tawm los ntawm cov xuab zeb thiab purified. Cov txheej txheem tshwj xeeb tsim cov silicon ingots ntawm txoj kab uas tsim nyog. Tom qab ntawd cov ingots raug txiav mus rau hauv nyias silicon wafers rau ua microchips.

Cov wafers tau npaj rau cov kev qhia tshwj xeeb, xws li kev sau npe ntawm ntug kev xav tau thiab qib sib kis.

 tac-guide-ntiv nplhaib

 

(2)Silicon wafer manufacturing:

Kuj hu ua chip manufacturing, lub liab qab silicon wafer tuaj txog ntawm silicon wafer manufacturing cog thiab ces mus los ntawm ntau yam tu, zaj duab xis tsim, photolithography, etching thiab doping kauj ruam. Cov txheej txheem silicon wafer muaj cov txheej txheem sib xyaw ua ke mus tas li etched ntawm silicon wafer.

(3)Kev sim thiab xaiv cov silicon wafers:

Tom qab kev tsim khoom ntawm silicon wafer tiav lawm, cov silicon wafers raug xa mus rau qhov chaw sim / cais, qhov twg ib tus neeg cov chips raug soj ntsuam thiab kuaj hluav taws xob. Cov chips txais tau thiab tsis tuaj yeem raug muab cais tawm, thiab cov chips tsis raug raug txheeb xyuas.

(4)Kev sib dhos thiab ntim khoom:

Tom qab wafer kuaj / sorting, cov wafers nkag mus rau hauv lub rooj sib txoos thiab ntim cov kauj ruam los ntim cov chips ib tus neeg nyob rau hauv ib lub raj tiv thaiv pob. Sab nraub qaum ntawm lub wafer yog hauv av kom txo cov thickness ntawm lub substrate.

Ib zaj duab xis yas tuab yog txuas rau sab nraum qab ntawm txhua lub wafer, thiab tom qab ntawd siv lub pob zeb diamond-tipped saw hniav los cais cov chips ntawm txhua wafer raws cov kab ntawv sau rau sab pem hauv ntej.

Cov yas zaj duab xis nyob tom qab ntawm silicon wafer ua kom cov silicon nti tsis txhob poob. Nyob rau hauv lub rooj sib txoos cog, cov chips zoo raug nias los yog khiav tawm los tsim ib lub pob sib dhos. Tom qab ntawd, cov nti tau muab khi rau hauv lub plhaub yas lossis ceramic.

(5)Kev xeem zaum kawg:

Txhawm rau ua kom lub zog ua haujlwm ntawm lub nti, txhua lub ntim sib xyaw ua ke raug kuaj kom ua tau raws li cov chaw tsim khoom siv hluav taws xob thiab ib puag ncig cov yam ntxwv uas yuav tsum tau ua. Tom qab kev sim zaum kawg, lub nti xa mus rau cov neeg siv khoom sib dhos rau hauv qhov chaw tshwj xeeb.

 

2.2 Txheej txheem Division

 

Integrated circuit manufacturing txheej txheem feem ntau muab faib ua:

Pem hauv ntej kawg: Cov txheej txheem pem hauv ntej feem ntau yog hais txog cov txheej txheem tsim khoom xws li transistors, feem ntau suav nrog kev tsim cov txheej txheem ntawm kev sib cais, lub rooj vag qauv, qhov chaw thiab ntws, qhov sib cuag, thiab lwm yam.

Rov qab kawg: Cov txheej txheem rov qab feem ntau yog hais txog kev tsim cov kab sib txuas uas tuaj yeem xa hluav taws xob xaim hluav taws xob mus rau ntau yam khoom siv ntawm lub nti, feem ntau suav nrog cov txheej txheem xws li dielectric deposition ntawm cov kab sib txuas, cov kab hlau tsim, thiab cov hlau lead pad tsim.

Nruab nrab theem: Txhawm rau txhim kho kev ua haujlwm ntawm cov transistors, cov cuab yeej siv siab tshaj plaws tom qab 45nm / 28nm siv high-k gate dielectrics thiab hlau rooj vag txheej txheem, thiab ntxiv cov txheej txheem hloov rooj vag thiab cov txheej txheem sib txuas hauv zos tom qab lub transistor qhov chaw thiab cov qauv ntws tau npaj. Cov txheej txheem no nyob nruab nrab ntawm cov txheej txheem pem hauv ntej thiab cov txheej txheem rov qab kawg, thiab tsis siv rau hauv cov txheej txheem ib txwm muaj, yog li lawv hu ua cov txheej txheem nruab nrab theem.

Feem ntau, cov txheej txheem kev sib cuag ntawm lub qhov yog cov kab sib faib ntawm cov txheej txheem pem hauv ntej thiab cov txheej txheem rov qab.

Hu rau qhov: ib lub qhov etched vertically nyob rau hauv silicon wafer mus cuag thawj-txheej hlau interconnection kab thiab lub substrate ntaus ntawv. Nws yog ntim nrog hlau xws li tungsten thiab yog siv los ua cov cuab yeej electrode mus rau txheej hlau sib txuas.

Los ntawm Qhov: Nws yog txoj kev sib txuas ntawm ob txheej uas nyob ib sab ntawm cov hlau sib txuas, nyob rau hauv cov txheej txheem dielectric ntawm ob txheej hlau, thiab feem ntau yog ntim nrog cov hlau xws li tooj liab.

Hauv kev nkag siab dav:

Pem hauv ntej-kawg txheej txheem: Hauv kev txiav txim siab dav, kev tsim hluav taws xob sib xyaw ua ke yuav tsum suav nrog kev sim, ntim thiab lwm cov kauj ruam. Piv nrog rau kev sim thiab ntim khoom, cov khoom sib txuas thiab kev sib txuas ua ke yog thawj feem ntawm kev tsim hluav taws xob sib xyaw ua ke, suav nrog cov txheej txheem pem hauv ntej kawg;

Cov txheej txheem rov qab: Kev sim thiab ntim khoom yog hu ua cov txheej txheem rov qab.

 

3. Cov ntawv ntxiv

 

SMIF: Txheem Mechanical Interface

AMHS: Tsis Siv Neeg Cov Khoom Siv Ua Haujlwm

OHT: Nyiaj siv ua haujlwm hoist

FOUP: Pem Hauv Ntej Qhib Lub Pob Ntseg, Tshwj Xeeb rau 12 nti (300mm) wafers

 

Qhov tseem ceeb tshaj,Semicera tuaj yeem muab taugraphite qhov chaw, soft/rigid xav,silicon carbide qhov chaw, CVD silicon carbide qhov chaw, thiabSiC/TaC coated qhov chawnrog tag nrho cov txheej txheem semiconductor hauv 30 hnub.Peb ua siab dawb tos ntsoov los ua koj tus khub mus sij hawm ntev hauv Suav teb.

 


Post lub sij hawm: Aug-15-2024